EU-I   EUV Lithography I
August 17(Wed), 09:00~10:30 KST, 401/402
좌장 : 이상설(포항공대/가속기연구소)
EU-I-1 (Invited)
09:00~09:30
Introduction for EUV Light Source in FST
*BuYeob YOO (FST Corp.)

EU-I-2 (Invited)
09:30-10:00
A quarter century of EUV multilayer optics at Fraunhofer IOF and optiX fab
*Torsten Feigl, Marco Perske, Hagen Pauer, Tobias Fiedler, Philipp Naujok, Klara Stallhofer, Tina Seifert, Ernesto Roa Romero, Annika Schmitt, Florian Scheinpflug (optiX fab GmbH)

EU-I-3 (Invited)
10:00~10:30
A Study on the Imaging Characteristics of Phase Shift Mask for EUV Technology with novel Material
*김용대 (에스앤에스텍)

EU-II   EUV Lithography II
August 17(Wed), 10:50~12:20 KST, 401/402
좌장 : 이상설(포항공대/가속기연구소)
EU-II-1 (Invited)
10:50~11:20
EUV actinic tools for EUV mask 3nm node beyond
*Byung Gook Kim (ESOL)

EU-II-2 (Invited)
11:20~11:50
Controlling EUV resist stochastics
*Gregory Denbeaux (SUNY Polytechnic Institute)

EU-II-3 (Invited)
11:50~12:20
Local Selective Cleaning for EUV Pellicle Lifetime Extension
*Tae-Gon Kim, Hyun-gyu Kang, Dong-hyeon Kwon (Hanyang University), Byung-hoon Lee (Samsung Electronics), Jinho Ahn, Jin-Goo Park (Hanyang University)

MI-I   Advanced Metrology and Inspection I
August 17(Wed), 09:00~10:30 KST, 403
좌장 : 이명준(삼성전자)
MI-I-1 (Invited)
09:00~09:30
High Throughput Scanning probe Microscopy for Semiconductor Metrology and Inspection 
*Hamed Sadeghian (Nearfield Instruments)

MI-I-2 (Invited)
09:30-10:00
Advanced spectral metrology techniques for massive and precise 3D measurement
*Taejoong Kim (Samsung)

MI-I-3 (Invited)
10:00~10:30
Expanding the role of CD-SEM technology for following the paradigm shift of semiconductor device
*Kyoungmo Yang (Hitachi Hightech Korea)

MI-II   Advanced Metrology and Inspection II
August 17(Wed), 10:50~12:20 KST, 403
좌장 : 김욱래(삼성전자)
MI-II-1 (Invited)
10:50~11:20
Metasurface-enabled spectral and polarization control for advanced metrology systems 
*Jonathan Albert Fan (Stanford University)

MI-II-2 (Invited)
11:20~11:50
Ultrafast 3D surface imaging and maskless lithography
*Hongki Yoo (KAIST)

MI-II-3 (Invited)
11:50~12:20
Lensless EUV metrology for mask and wafer inspection
*Iacopo Mochi (Paul Scherrer Institute)

AL/AM-I   Alternative Lithography & Algorithmic Molecular Patterning and Optical Device I (Joint Session)
August 17(Wed), 09:00~10:30 KST, 404
좌장 : 이승우(고려대)
AL/AM-I-1 (Invited)
09:00~09:30
Block-copolymer self-assembly-based nano-patterns with controlled complexity
*Seok Joon Kwon (Sungkyunkwan University)

AL/AM-I-2 (Invited)
09:30-10:00
DNA-based self-assembly towards programmable nanoscale patterning
*Sungwook Woo (Pohang University of Science and Technology)

AL/AM-I-3 (Invited)
10:00~10:30
Microparticle-based Patterning and Fabrication of Deformable Devices
*Unyong Jeong (POSTECH)

AL/AM-II   Alternative Lithography & Algorithmic Molecular Patterning and Optical Device II (Joint Session)
August 17(Wed), 10:50~12:20 KST, 404
좌장 : 전석우(KAIST)
AL/AM-II-1 (Invited)
10:50~11:20
Topological defects of soft matter in the confined geometries
Geonhyeong Park, *Dong Ki Yoon (KAIST)

AL/AM-II-2 (Invited)
11:20~11:50
Parallel nanofabrication and nanoimaging
*Wooyoung Shim (Yonsei university)

AL/AM-II-3
11:50~12:05
Microfluidic multi-junction design for high-viscosity fluids
Hyeon Ho Kim, Kyung Hoon Rho, YongDeok Cho, Dongjae Baek, Sung Hun Park, *Seungwoo Lee (KU-KIST Graduate School of Converging Science and Technology, Korea University, Seoul 02841, Korea.)

AL/AM-II-4
12:05~12:20
Selenium Colloidal Monolayer Fabricated by Self-Assembly
권민 (Korea university), 조용덕, 임은지, *이승우 (Korea University)

PM-I   Patterning Materials I
August 18(Thu), 13:00~14:30 KST, 401/402
좌장 : 이진균(인하대)
PM-I-1 (Invited)
13:00~13:30
Sn-based EUV Photoresist for ultra-fine nanopatterns
*Ji-Hyun Jang (UNIST)

PM-I-2 (Invited)
13:30~14:00
Photolithography Process using Fluorinated Patterning Materials for OLED Displays
*Byung Jun Jung (University of Seoul), Jin-Kyun Lee (Inha University)

PM-I-3 (Invited)
14:00~14:30
Directed Molecular Self-Assembly via Photo-Thermal Process
*Hyeong Min Jin (Chungnam National University)

PM-II   Patterning Materials II
August 18(Thu), 14:50~16:20 KST, 401/402
좌장 : 진형민(충남대)
PM-II-1 (Invited)
14:50~15:20
Thin Film Properties of EUV Underlayer
*Jung June Lee, Jae Hwan Sim, Yoo-Jin Ghang, Jae Yun Ahn, Jae-Bong Lim, Joo Sung Lee, Min Young Jeong, Soojung Leem, Youngeun Bae, Yinjie Cen (DuPont Electronics & Industrial, Semiconductor Technology R&D), James R. Marsh, Lei Zhang (DuPont Science & innovation)

PM-II-2 (Invited)
15:20~15:50
화학적/물리적 가교 결합에 기반한 불소화 극자외선 레지스트
*이진균 (인하대학교)

PM-II-3
15:50~16:05
Method of holographic inscription to fabricate sinusoidally modulated optical volume gratings
손희주, 임용준, 김광진, 백동재, 홍승재, 방준하, *이승우 (고려대학교)

PM-II-4
16:05~16:20
홀로그래픽 광고분자를 통한 홀로그램 광학소자의 제작 및 분석
김광진, 백동재, 임용준, 손희주, *이승우 (고려대학교)

LO-I   Layout Optimization and Computational Lithography I
August 18(Thu), 13:00~14:30 KST, 403
좌장 : 양현조(ASML)
LO-I-1 (Invited)
13:00~13:30
High-NA computational Lithography for next generation patterning
*Jung-Hoon Ser (ASML US)

LO-I-2 (Invited)
13:30~14:00
Advances in OPC Etch Modeling
*Young-Chang Kim (Siemens EDA)

LO-I-3 (Invited)
14:00~14:30
Machine Learning application in OPC area
KyungEun Lee, Sungho Kim, Jongchan Lee, Jinho Yang, Hyeonseok Yeon, Sungwoo Ko, Cheolkyun Kim, *Chanha Park (SKHynix)

LO-II   Layout Optimization and Computational Lithography II
August 18(Thu), 14:50~16:20 KST, 403
좌장 : 양현조(ASML)
LO-II-1 (Invited)
14:50~15:20
Fast Rigorous Simulation for high-NA EUV Lithography - Deploy predictive and fast simulations to explore mask and patterning solutions
*Ulrich Klostermann (Synopsys)

LO-II-2
15:20~15:35
EUV 노광에 의한 wafer 열변형이 overlay 와 CD uniformity 에 미치는 영향 
Hee-chang Ko, Won-Young Choi, Ji-Hyun Jeon, Ji-Hyun Lee, Ji-Won Kang, *Hye-Keun Oh (Hanyang University)

LO-II-3
15:35~15:50
Finite Element Analysis of Extreme Ultraviolet Pellicle Contaminations
*김상곤 (홍익대학교)

LO-II-4
15:50~16:05
Refragmentation Using Machine Learning for Efficient Optical Proximity Correction
Gangmin Cho, Yonghwi Kwon, Taeyoung Kim, *Youngsoo Shin (KAIST)

LO-II-5
16:05~16:20
Lithography Hotspot Pattern Synthesis Using Generative Network with Hotspot Probability Model
Byungho Choi, Gangmin Cho, Yonghwi Kwon, *Youngsoo Shin (KAIST)

AL/AM-III   Alternative Lithography & Algorithmic Molecular Patterning and Optical Device III (Joint Session)
August 18(Thu), 13:00~14:30 KST, 404
좌장 : 이승우(고려대)
AL/AM-III-1 (Invited)
13:00~13:30
Meta-masks for proximity-field nanopatterning
*Jonghwa Shin (Korea Advanced Institute of Science and Technology)

AL/AM-III-2 (Invited)
13:30~14:00
Structurally colored materials based on Fe3O4@SiO2 colloidal quasi-amorphous arrays and their potential applications
*Xuegang Lu (Xi’an Jiaotong University)

AL/AM-III-3
14:00~14:15
M13 Bacteriophage-based Micro Color Patterning for Color Sensor Array Development
*Jong-Min Lee, HyeonSeok Seo, SangJin Lee, Yoonho Jeong, Seungchan Kwon, Sunhwa Gu, Yewon Lee (Hallym University)

AL/AM-III-4
14:15~14:30
포토리소그래피 기반 실크 단백질 바이오 소재의 패터닝
최주완, 김현진, Rakesh Kumar Jha, *김성환 (아주대학교)

PS1   EUV Lithography
PS1-01
EUV Phase Shift Mask 위상특성 제어를 통한 마스크 이미징 성능 향상 기술 연구
Dongmin Jeong, Yunsoo Kim, Minsun Cho, *Jinho Ahn (Hanyang University)

PS1-02
EUV 펠리클 주름이 M3D effect와 마스크 이미징 성능에 미치는 영향에 대한 실험적 시연 
최진혁, 이동기, 김영웅, 문승찬, 위성주, *안진호 (한양대학교)

PS1-03
EUV 펠리클의 열-기계적 물성이 critical dimension uniformity에 미치는 영향
Youngwoo Kang, Junghwan Kim, *Jinho Ahn (Hanyang University)

PS1-04
패턴 품질 및 수율을 고려한 최적의 high NA 용 EUV mask 구조 제시
Jang-Gun Park, Min-Woo Kim, Da-kyung Yu, *Hye-keun Oh (한양대학교(에리카캠퍼스))

PS1-05
오염 입자의 충돌에 의한 EUV pellicle의 기계적 안정성 평가
Ji-Hyun Jeon, Ji-Won Kang, Won-Young Choi, Hee-Chang Ko, Ji-Hyun Lee, *Hye-Keun Oh (Hanyang University)

PS1-06
High-performance EUV lighting with C- beam irradiation technique
Bishwa Chandra Adhikari, Sung Tae Yoo, *Kyu Chang Park (Kyung Hee University)

PS2   Immersion Lithography
PS2-01
A Study on the Correlation between Resolution and Depth of Focus in ArF immersion 
Chae-Hwan Kim, Jungchul Song (National Nano Fab Center), *Ga-Won Lee (Chungnam Natioanl University)

PS3   Hetero Structures
PS3-01
Gate-Tunable broadband photodetection in Graphene-MoS2 Heterostructures 
VU KHAC DAT, *Ji-Hee Kim, Ye Tao (Sungkyunkwan University)

PS4   Alternative Lithography & Algorithmic Molecular Patterning and Optical Device (Joint Session)
PS4-01
Method for enhancing the patterning speed and precision in DMD-based maskless lithography
최진수 (한국과학기술원), 김기홍, 이원섭, 조현민, 장원석 (한국기계연구원), *유홍기 (한국과학기술원)

PS4-02
A Study on Laser Direct Patterning of Liquid Metals for Flexible Electronics
Su-Jeong Park (KITECH / Hanyang Univ.), Seong Ju Park, Kim Inae, *Chanwoo Yang (KITECH)

PS4-03
반데르 발스 힘을 이용한 콜로이드 자기 조립 방법
Cho Yongdeok, Kwon Min, Sung Hun Park, *Seungwoo Lee (Korea University)

PS4-04
Design of DNA origami crystals and their applications
박성훈, *이승우 (고려대학교)

PS4-05
Large-area and crack-free gold monolayer via ligand exchange with polymer brush
김나연, 허지혁, *이승우 (KU-KIST Graduate School of Converging Science and Technology, Korea University)

PS5   Advanced Metrology and Inspection
PS5-01
Cubic Convergent Reconstruction Algorithm for Fourier Ptychography Microscope
Tuo Yin, Gookho Song, Jaeyeon Oh, *Mooseok Jang (Department of Bio and Brain Engineering, KAIST)

PS5-02
Comparative analysis of Model-base & Model-less TSOM method for semiconductor, display metrology
주지용, 이정빈, 박지원, *이준호 (공주대학교)

PS5-03
Material-specific nano-imaging of line-patterned silicon-based substrates using super-resolution fluorescence microscopy
Uidon Jeong, *Doory Kim (Department of Chemistry, Hanyang University, Seoul 04763, Republic of Korea)

PS5-04
Research on TSOM Data Tendency by Position of Embedded Defect in 3D NAND Structure
Ji Won Park, *Jun Ho Lee, Ji Yong Joo, Jung Bin Lee, Do Hee Kim (KONGJU NATIONAL UNIVERSITY)

PS5-05
Optical Design of High-Resolution DUV Objective lens for Semiconductor Inspection equipment(반도체 검사장비용 고분해능 심자외선 대물렌즈 광학설계)
Do Hee Kim, *Jun Ho Lee, Jung Bin Lee, Ji Won Park, Seok Young Ju (Kongju National University)

PS5-06
High-resolution on-chip microscopy using LED matrix
Jongin You, Gookho Song, *Mooseok Jang (Korea Advanced Institute of Science and Technology)

PS5-07
Non destructive defect penetration depth estimation via model-less NIR TSOM
Lee Jung Bin, Ji Yong Joo, Do Hee Kim, Ji Won Park, *Jun Ho Lee (Kongju National University)

PS5-08
Holographic imaging based on physics-informed style transfer network
Chanseok Lee, *Mooseok Jang (KAIST)

PS5-09
플렌옵틱 광학계 마이크로 렌즈 어레이 배열 변화를 통한 공간분해능 변화 연구
한석기, *이준호, 장관우, 연하늘 (공주대학교)

PS5-10
단일 초점 및 다중 초점 MLA 모델링을 통한 플렌옵틱 DOF 비교 분석 
Gwan Woo Jang, *Jun Ho Lee, Seok Gi Han, Ha Neul Yeon (Dept. of Optical Eng., Kongju National Univ.)

PS5-11
Spatial resolution enhancement of dynamic spectroscopic imaging ellipsometry
Sukhyun CHOI (Jeonbuk National University / Korea Research Institute of Standards and Science), *Daesuk KIM (Jeonbuk national university)

PS5-12
Plenoptic 1.0 and 2.0 Spatial Resolution Comparative Analysis through Modeling
Ha Neul Yeon, *Jun Ho Lee, Gwan Woo Jang, Seok Gi Han (Kongju National University)

PS5-13
A Study on the Electrode-Insulator Structure of Microcolumn
Youngbok Lee, Hyungwoo Kim, Dae Wook Kim, Seung Joon Ahn, Tae Sik Oh, *Ho Seob Kim (Sun Moon University)

PS5-14
Microsphere-assisted, nanospot, non-destructive metrology for semiconductor devices
Kwangrak Kim, Soonyang Kwon, Jangryul Park, Youngsun Choi, Jiwoong Kim, *Myungjun Lee, Changhoon Choi (Samsung Electronics)

PS5-15
Advanced AFM Defect Review in Semiconductor Application with Chemical Information
Jinyoung Na, Ahjin Jo, Byoung-Woon Ahn, *Sang-Joon Cho (Park Systems)

PS5-16
Technological Convergence between AFM and WLI for Industrial AFM Applications
Minjeung Lee, Ahjin Jo, Byoung-Woon Ahn, *Sang-Joon Cho (Park Systems)

PS6   Patterning Materials
PS6-01
Synthesis and photolithography of perfluoroalkylated photoresist based on tin oxide with high etching resistance for EUVL
안형주, *이진균 (인하대학교)

PS6-02
Possibility of perfluoroalkylated metallophthalocyanine as EUV resist 
최민규, *이진균 (인하대학교)

PS6-03
Characterization of electrode patterns fabricated by using plating method for flexible printed circuit boards
*Sung-Jei Hong, Chul Jong Han (Korea Electronics Technology Institute), Hyontae Kim (PRO 2000 co., Ltd.), Jeong Beom Park, Sang Hyeok Hong, Ajin Jo (Korea Electronics Technology Institute)

PS6-04
Metal oxide nanoparticle inks: unconventional patterning materials
Hojun Kim, Phuoc Loc Truong, Phuong-Danh Bui, Gichan Kim, *Daeho Lee (Gachon University)

PS6-05
Area-Selective Deposition of oxide thin films for nano-patterning
Jieun Kim, *Jung Wook Lim, *Sung-Hoon Hong (Electronics and Telecommunications Research Institute, University of Science and Technology)

PS6-06
Synthesis and characterization of hydrogen silsesquioxane/tin oxo cluster blend material for EUV photoresist 
Jiyoung Bang, Hyeok Yun, Wonchul Kee (전남대학교), Siwoo Noh, Ki-Jeong Kim (Pohang Accelerator Laboratory, POSTECH), *Hyun-Dam Jeong (전남대학교)

PS6-07
An effective method for the fabrication of perfect optical Fourier elements
임용준, 김광진, 백동재, 손희주, 홍승재, 방준하, *이승우 (Korea University)

PS6-08
A Newly Synthesized Tin Oxo Cluster for Extreme Ultraviolet (EUV) Photoresist
Hyeok Yun, Jiyoung Bang (Chonnam national university), Siwoo Noh, Geonhwa Kim, Ki-Jeong Kim (Pohang Accelerator Laboratory), *Hyun-Dam Jeong (Chonnam national university)

PS6-09
Newly Synthesized Tin Oxo Cluster Resist for EUV Lithography
Minyeop Kim, Hyeok Yun, Jiyoung Bang (Chonnam National University), Siwoo Noh, Geonhwa Kim, Ki-Jeong Kim (POSTECH), *Hyun-Dam Jeong (Chonnam National University)

PS6-10
Dill과 Mack 모델을 이용한 포토레지스트의 특성 측정에 관한 연구
박승태, 권해혁, *박종락 (조선대학교)